colophon

How this site is made.

This blog, as well as the entire site, is built using Zola and styled with a bit of sakura.css. It’s being served to you through CloudFlare Pages.

That’s pretty much it.